Home   >   CSC-OpenAccess Library   >    Manuscript Information
FIFO Based Routing Scheme for Clock-less System
R.K.Sharma, A.K.Gupta, Mansi Jhamb, Vinod Kumar Khera
Pages - 1 - 13     |    Revised - 31-03-2011     |    Published - 04-04-2011
Volume - 2   Issue - 1    |    Publication Date - March / April 2011  Table of Contents
MORE INFORMATION
KEYWORDS
FIFO, Clock-Less, Routing Scheme, Asynchronous Design
ABSTRACT
As a result of the increasing limitations and growing complexity of semi-custom synchronous design, asynchronous circuits are gaining interest. Asynchronous Systems when combined with the local synchronous logic have provoked renewed interest over recent years, as they have the potential to combine the benefits of asynchronous and synchronous design paradigms, in this paper a new technique using FIFO in order to overcome the limitation on timing imposed by slow routing is proposed. FIFOs are often used to safely pass data from one clock domain to another asynchronous clock domain.
1 Google Scholar 
2 CiteSeerX 
3 Scribd 
4 SlideShare 
5 PdfSR 
?Simla?st´?k, M., et al.: Clockless Implementation of LEON2 for Low- Power Applications. In: Proceedings of the 10th IEEE Workshop DDECS 2007, Krak´ow, Poland, April 11-13 (2007)
?Simla?st´?k, M., et al.: De-synchronized LEON2 Integer Unit. In: Proceedings of the6th Electronic Circuits and SystemsConference,Bratislava,Slovakia,September 6-7 (2007)
Andrikos, N., Lavagno, L., Pandini, D., Sotiriou, C.P.: A Fully- Automated Desynchronization Flow for Synchronous Circuits. In: Proceedings of the 44th ACM/IEEE Design Automation Conference (DAC) 2007, San Diego, CA, USA, 4-8 June 2007, pp. 982– 985 (2007)
Benveniste, A., Caillaud, B., Guernic, P.L.: From synchrony to asynchrony. In: Baeten, J.C.M., Mauw, S. (eds.) CONCUR 1999. LNCS, vol. 1664, pp. 162–177. Springer, Heidelberg (1999)
Benveniste, A., Carloni, L., Caspi, P., Sangiovanni-Vincentelli, A.: Heterogeneousreactive systems modeling and correct-by-construction deployment. In : Alur, R., Lee, I. (eds.) EMSOFT 2003. LNCS, vol. 2855, pp. 35–50. Springer, Heidelberg(2003)
Blunno, I., Cortadella, J., Kondratyev, A., Lavagno, L., Lwin, K., Sotiriou, C.: Handshake protocols for de-synchronization. In: Proceedings of International Symposium on Advanced Research Asynchronous Circuits Systems, Crete, Greece, pp. 149–158 (2004)
Bormann, D.S., Cheung, P.Y.K.: Asynchronous wrapper for heterogeneous systems. In: Proceedings of the International Conference on Computer Desi gn (ICCD). (1997) 307{314.
Branover, A., Kol, R., Ginosar, R.: Asynchronous Design By Conversion: Converting Synchronous Circuits into Asynchronous Ones. In: Proceedings of De sign, Automation and Test in Europe Conference and Exhibition 2004 (DATE 2004), 16-20 February 2004, vol. 2, pp. 870–875 (2004)
Clifford E. Cummings, “Synthesis and Scripting Technique s for De signing Multi- Asynchronous Clock Designs,” SNUG 2001 (Synopsys Users Group Conference, San Jose, CA, 2001) User Papers, March 2001, Section MC1, 3rd paper. [37] . Chapiro, D.M.: Globally Asynchronous Locally Synchronous Systems. PhD thesis, Stanford University (1984)
Cortadella, J., Kondratyev, A., Lavagno, L., Lwin, K., Sotiriou, C.: From synchronous to asynchronous: An automatic approach. In: Proceedings of DATE, Paris, France, vol. 2, pp. 1368–1369 (2004)
Cortadella, J., Kondratyev, A., Lavagno, L., Sotiriou, C.: A concurrent model for desynchronization. In: Proceedings of International Workshop on Logic Synthesis, Laguna Beach, CA, pp. 294–301 (2003)
Cortadella, J., Kondratyev, A., Lavagno, L., Sotiriou, C.: Desynchronization: Synthesis of Asynchronous Circuits from Synchronous Specifications. IEEE Transactions on CAD of Integrated Circuits and Systems 25(10), 1904–1921 (2006)
Dean, M., Williams, T., Dill, D.: Efficient Self-Timing, with Level- Encoded 2-PhaseDual- Rail (LEDR). In: Proceedings of the 1991
Elastix Corp., http://www.elastix-corp.com
Fant, K.M., Brandt, S.A.: Null Convention Logic, Theseus Research, Inc. (2002)
ITRS: International Technology Roadmap for Semiconductors (1999), http://www.itrs.net/1999 SIA Roadmap/Home.htm
Kol, R., Ginosar, R., Samuel, G.: Statechart Methodology for the Design, Validation, and Synthesis of Large Scale Asynchronous Systems. In: Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems 1996 (ASYNC 1996), Fukushima, Japan, 18-21 March 1996, pp. 164–174 (1996)
Kol, R., Ginosar, R.: A Doubly-Latched Asynchronous Pipeline. In: Proceedings of IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD 1997), Austin, TX, USA, 12-15 October 1997, pp. 706–711 (1997)
Ligthart, M., Fant, K., Smith, R., Taubin, A., Kondratyev, A.: Asynchronous designusing commercial hdl synthesis tools. In: Proceedings of International Symposiumon Advanced Research Asynchronous Circuits Systems, Eilat, Israel, pp. 114–125 (April 2000)
Linder, D.: Phased Logic: A Design Methodology for Delay-Insensitive, SynchronousCircuitry. PhD thesis, Mississippi State University (1994)
Linder, D.H., Harden, J.C.: Phased logic: Supporting the synchronous design paradigmwith delay-insensitive circuitry. IEEE Transactions on Computers 45(9), 1031–1044 (1996)
McAuley, A.: Four State Asynchronous Architectures. IEEE Tra. Computers41(2), 129–142 (1992)
Molina, P.: The Design of a Delay-Insensitive Bus Architecture using Ha ndshake Circuits. PhD thesis, Imperial College (1997)
Nanochronous Logic, http://www. nanochronous.com
Necchi, L., Lavagno, L., Pandini, D., Vanzago, L.: An ultralow energy asynchronous processor for Wireless Sensor Networks. In: Proceedings of the 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2006), p. 78 (March 2006)
P•echou•cek, M.: Anomalous response times of input sychronisers. IEEE Transac-tions on Computers C-25 (1976) 133
Perry, D.: VHDL, 2nd edn. McGraw-Hill, New York (1994)
Reese, R., Thornton, M., Traver, C., Hemmendinger, D.: Early Evaluation for PerformanceEnhancement in Phased Logic. IEEE Transactions on Computer AidedDe sign of Integrated Circuits and Systems 24(4), 532–550 (2005)
Reese, R., Thornton, M., Traver, C.: A Coarse-grained Phased Logic CPU. In:Proceedings of the 9th International Symposium on Advanced Research in AsynchronousCircuits and Systems (ASYNC 2003), Vancouver, BC, Canada, pp. 2–13 (May 2003)
Reese, R., Thornton, M., Traver, C.: A Fine-grained Phased Logic CPU. In: IEEEComputer Society’s Annual Symposium on VLSI (ISVLSI 2003), Tampa, Florida,pp. 70–79 (February 2003)
Smirnov, A., Taubin, A.: Weaver Asynchronous (Self-Timed) Micropipeline Synthesis Flow,
Sobelman, G.E., Fant, K.M.: CMOS Circuit Design of Threshold Gates with Hysteresis.In: Proceedings of the IEEE International Symposium on Circuits and Systems(ISCAS 1998), Monterey, CA, USA, 31 May-3 June 1998, vol. 2, pp. 61–64 (1998)
Sparsø, J., Furber, S.: Principles of Asynchronous Circuit Design: A Systems Perspective.Kluwer Academic Publishers, Dordrecht (2001)
Sutherland, I.: Micropipelines. Communications of the ACM, 720–738 (June 1989)
Taubin, A., Cortadella, J., Lavagno, L., Kondratyev, A., Peeters, A.: De sign automation of real-life asynchronous devices and systems. Foundations and Trends R_in Electronic Design Automation 2(1), 1–133 (2007.
Thornton, M., Fazel, K., Reese, R., Traver, C.: Genereralized Early Evaluation inSelf- Timed Circuits. In: Proceedings of DATE 2002, Paris, France, pp. 255–259,March 4-8 (2002)
Varshavsky, V., Marakhovsky, V., Chu, T.A.: Logical timing (global synchronization of asynchronous arrays). In: Proceedings of the 1st International Symposium on Parallel Algorithm/Architecture Synthesis, Aizu- Wakamatsu, Japan, pp. 130– 138 (March 1995)
]Ebergen, C.: A Formal Approach to Designing Delay-Insensitive Circuits. Dis-tributed Computing 5 (1988) 107.
Dr. R.K.Sharma
- India
Dr. A.K.Gupta
- India
Miss Mansi Jhamb
USIT - India
mansi.jhamb@gmail.com
Dr. Vinod Kumar Khera
- India


CREATE AUTHOR ACCOUNT
 
LAUNCH YOUR SPECIAL ISSUE
View all special issues >>
 
PUBLICATION VIDEOS